<track id="r9pnr"><ruby id="r9pnr"></ruby></track>
      <p id="r9pnr"><mark id="r9pnr"></mark></p>

      <pre id="r9pnr"><ruby id="r9pnr"><var id="r9pnr"></var></ruby></pre>
      <p id="r9pnr"></p>
      <p id="r9pnr"><del id="r9pnr"></del></p>

      <output id="r9pnr"><cite id="r9pnr"><progress id="r9pnr"></progress></cite></output>
      <pre id="r9pnr"><b id="r9pnr"><thead id="r9pnr"></thead></b></pre>
      15601689581
      當前位置:主頁 > 技術文章 > Moku云編譯介紹

      Moku云編譯介紹

      更新時間:2022-10-11 點擊次數:753

      Moku Cloud Complie是Moku:Pro上的一項創新功能。Moku是測試工具是基于FPGA的儀器,Moku Cloud Complie允許用戶將自定義的VHDL代碼部署到Moku,代碼可以停提供自定義功能和現有儀器交互,解鎖Moku片上儀器的創新和du有的功能。

      這個教程知道用戶從創建Cloud Complie賬戶開始到部署一些簡單的VHDL示例。在指南結束時,用戶將具備編譯和部署自定義代碼到Moku:Pro的基本知識。


      前提條件


      Moku:Pro 需要帶有以下功能

      Multi-Instrument Mode(MiM)

      Moku Cloud Complie (MCC)

      如果您的 Moku:Pro 沒有 MiM 或 MCC,聯系 Liquid Instruments 以咨詢評估和升級。


      概述


      Liquid Instruments 的云編譯工具使用戶能夠設計客戶代碼和功能以在 Moku:Pro 平臺上實施。與 CPI 和基于專用集成電路 (ASIC) 的 DSP 方法相比,FPGA 平臺提供接近 ASIC 級別的延遲和性能,并且更像傳統 CPU 的軟件可編程性。



      雖然有許多軟件語言可以用來為基于 CPU 的設計編寫軟件,并且這些語言被廣泛教授和使用; FPGA 編程僅限于 VHDL 或 Verilog,它們的學習曲線陡峭,而且工具通常很昂貴。此外,可用于部署 VHDL 代碼的平臺僅限于 FPGA 供應商的評估板或各種功能有限的開源硬件板。



      Moku:Pro 與 Moku Cloud Compile 相結合,滿足了對具有研究級硬件的高性能實驗室儀器的需求,并結合了部署自定義 VHDL 的能力,而無需大量 HDL 編譯、合成和路由軟件的開銷。 MCC 在云端編譯用戶的客戶 VHDL,并通過互聯網提供比特流,準備部署到任何支持 MCC 的 Moku:Pro。



      云編譯.png



      Multi-instrument mode and Cloud Compile


      Moku:Pro 多儀器模式 (MiM) 允許同時部署和操作多個儀器。 在蕞gao及別; MiM 提供 4 個插槽,代表 FPGA 的 4 個分區。 用戶可以將儀器的靈活排列部署到這些插槽中。圖 1 顯示了 MiM 接口,具有示波器部署在插槽 1,頻譜分析儀部署在插槽 2,而插槽 3 和 4 仍有待填充。 可用的儀器有:PID 控制器、示波器、頻譜分析儀、鎖相放大器、波形發生器、頻率響應分析儀、任意波形發生器和云編譯。


      云編譯2.png



      它是 Cloud Compile 工具,下圖中的插槽 4,我們可以在其中部署用戶已編譯的 VHDL 代碼。 MiM 因此使用戶的 VHDL 能夠與 Moku 儀器進行交互。



      云編譯3.png



      Setting up a Cloud Compile account


      在我們可以編譯或部署代碼到 Moku 之前,我們需要一個在線帳戶。 這是一個簡單的過程:

      在以下位置設置 MCC 用戶帳戶:
      現有的新用戶可以通過用戶名或電子郵件地址登錄,然后輸入他們的密碼
      注冊頁面只需要用戶選擇的用戶名、有效的電子郵件地址和用戶定義的密碼。
      注冊并登錄后,您將看到 Projects 頁面,該頁面最初為空,如圖3所示



      云編譯4.png



      我們的第一個 VHDL 示例之前,我們將配置設備; 選擇 Devices 選項卡并進行配置,如圖 4 所示。選擇一個方便的名稱,然后選擇 Hardware version、Firmware version 和 No. of slot,如圖所示。



      云編譯5.png


      上海昊量光電作為Liquid Instruments公司在中國大陸地區主要的代理商,為您提供專業的選型以及技術服務。對于Moku 云編譯有興趣或者任何問題,都歡迎通過電話、電子郵件或者微信與我們聯系。

      關于昊量光電:

      上海昊量光電設備有限公司是光電產品專業代理商,產品包括各類激光器、光電調制器、光學測量設備、光學元件等,涉及應用涵蓋了材料加工、光通訊、生物醫療、科學研究、國防、量子光學、生物顯微、物聯傳感、激光制造等;可為客戶提供完整的設備安裝,培訓,硬件開發,軟件開發,系統集成等服務。

      昊量微信在線客服

      昊量微信在線客服

      版權所有 © 2024上海昊量光電設備有限公司 備案號:滬ICP備08102787號-3 技術支持:化工儀器網 管理登陸 Sitemap.xml

      av怡红院一区二区三区,97无码精品综合,亚洲国产高清在线一区二区三区,老子影院午夜伦不卡亚洲欧美

          <track id="r9pnr"><ruby id="r9pnr"></ruby></track>
          <p id="r9pnr"><mark id="r9pnr"></mark></p>

          <pre id="r9pnr"><ruby id="r9pnr"><var id="r9pnr"></var></ruby></pre>
          <p id="r9pnr"></p>
          <p id="r9pnr"><del id="r9pnr"></del></p>

          <output id="r9pnr"><cite id="r9pnr"><progress id="r9pnr"></progress></cite></output>
          <pre id="r9pnr"><b id="r9pnr"><thead id="r9pnr"></thead></b></pre>